Welcome![Sign In][Sign Up]
Location:
Search - PS2 FPGA

Search list

[Other resourcePS2-IP-CORE-VHDL

Description: 一个PS2 IP CORE(VHDL) for FPGA
Platform: | Size: 27393 | Author: nanotalk | Hits:

[Other resourceps2

Description: 用键盘控制FPGA,再由FPGA控制VGA显示器是好东西
Platform: | Size: 466351 | Author: 张俊 | Hits:

[Other resourcePS2

Description: 使用XLINX的FPGA实现P/S2的键盘接口
Platform: | Size: 3572 | Author: toneytang | Hits:

[VHDL-FPGA-Verilogvhdl_vga_kb

Description: VHDL的显示驱动程序,VHDL的PS2键盘驱动程序-VHDL display drivers, VHDL PS2 Keyboard Driver
Platform: | Size: 3072 | Author: 张明凯 | Hits:

[VHDL-FPGA-Verilogkeyboard_ps2_verilog

Description: 键盘鼠标的原代码,用FPGA实现,使用Verilog HDL编写,已经使用FPGA验正过了,完全可以用-keyboard and mouse of the original code, using FPGA, using Verilog HDL preparation, already in use FPGA-mortem is over, it can be used
Platform: | Size: 1480704 | Author: wpb3dm | Hits:

[VHDL-FPGA-Verilog1_070116141639

Description: verilog编程ps2接口设计,基于fpga的设计-verilog ps2 Programming Interface design, the design based fpga
Platform: | Size: 3072 | Author: ykf | Hits:

[Embeded-SCM DevelopEXPT12_11_Ps2Key

Description: 基于fpga和sopc的用VHDL语言编写的EDA的PS/2鼠标键盘控制模块-FPGA and SOPC based on the use of VHDL language EDA s PS/2 mouse keyboard control module
Platform: | Size: 33792 | Author: 多幅撒 | Hits:

[VHDL-FPGA-VerilogSourceFile

Description: PS2鼠标实验Verilog HDL代码-PS2 mouse experiments Verilog HDL code
Platform: | Size: 4096 | Author: 张猛蛟 | Hits:

[VHDL-FPGA-Verilogps2core

Description: 一个ps2键盘鼠标的Host Controller。实现接收键盘及鼠标发送的数据的要求。基于FPGA。-A ps2 keyboard and mouse of the Host Controller. Realize receive keyboard and mouse to send data requirements. Based on the FPGA.
Platform: | Size: 20480 | Author: 颜新卉 | Hits:

[VHDL-FPGA-Verilogps2_1

Description:
Platform: | Size: 2048 | Author: 黄龙 | Hits:

[VHDL-FPGA-Verilogps2_caculator_vhdl

Description: 基于spartan3火龙刀系列FPGA开发板制作的计算器,利PS2键盘做输入控制,有很好的借鉴价值-Fire Dragon spartan3 knife series based FPGA development board produced calculators, Lee PS2 keyboard input control to do, have a good reference value
Platform: | Size: 3072 | Author: 朱东亮 | Hits:

[VHDL-FPGA-VerilogPS2Fpga

Description: PS2开发源代码,取自于FPGA开发板,可直接应用于实际项目中-PS2 development of source code, derived from FPGA development board can be directly applied to actual projects
Platform: | Size: 610304 | Author: 王军 | Hits:

[Windows Developlcd

Description: 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
Platform: | Size: 1053696 | Author: luojicheng | Hits:

[Other1

Description: *先后调试了LED,按键,数码管的verilog程序,并在实验板上面调试成功!学习FPGA是一个漫长的过程,但是我必须得坚持!前途光明,道路崎岖! 这次在垃圾堆(我工作台下面的抽屉和柜子,呵呵)里面搜索了一个以前用C8051F020作的一个单片机 最小系统,其中有一个PS2的数字小键盘,于是马上鼓捣了一下,复习了一下PS2传输数据的规则,其实还是比较简单的,所以很快就把程序搞定,并在电路板上运行正常!!! -* Has a debug LED, button, digital control verilog procedures, and debugging in the experiment above board success! FPGA is a long learning process, but I have to insist! A bright future, roads and rugged! In the garbage (I workbench drawers and cabinets below, huh, huh) search inside a previously C8051F020 minimum for a single-chip systems, which have a small number of PS2 keyboard, then immediately鼓捣, then review the PS2 data about the rules, in fact, relatively simple procedures so they get very quickly, and in the normal operation of the circuit board! ! !
Platform: | Size: 5120 | Author: nyw | Hits:

[VHDL-FPGA-Verilogverilog-PS2

Description: 在FPGA内,实现PS2键盘数据读取功能,verilog源代码-In the FPGA, achieving PS2 keyboard data read functions, verilog source code
Platform: | Size: 2048 | Author: niuqs | Hits:

[VHDL-FPGA-VerilogPS2

Description: 用FPGA实现PS2功能 -PS2 functionality using FPGA implementation
Platform: | Size: 97280 | Author: 介意 | Hits:

[VHDL-FPGA-Verilogps2

Description: 使用fpga 10k20 和dac0832构成一个音乐发生器,按键采用ps2键盘。可以产生32个音阶-Using fpga 10k20 and dac0832 form a music generator, using ps2 keyboard keys. Can produce 32 scales
Platform: | Size: 67584 | Author: 王志华 | Hits:

[VHDL-FPGA-Verilogps2

Description: FPGA的SP2端口的实现,将键盘扫描值在数码管上显示-SP2 port FPGA-implementation of the value of the keyboard scan is displayed on the digital
Platform: | Size: 646144 | Author: 小刘 | Hits:

[VHDL-FPGA-VerilogPS2-keyboard

Description: fpga的ps2-键盘数码管显示程序,包括vhdl,顶层文件,工程文件-fpga of ps2-keyboard digital tube display program, including vhdl, top-level files, project files
Platform: | Size: 516096 | Author: zhouhengjun | Hits:

[VHDL-FPGA-VerilogPS2

Description: ps2 接口设置 基于fpga 已经验证 请放心下载-ps2 fpga-based interface settings have been verified, please rest assured download
Platform: | Size: 612352 | Author: h | Hits:
« 1 23 4 5 6 7 8 »

CodeBus www.codebus.net